加入收藏 | 设为首页 | 会员中心 | 我要投稿 李大同 (https://www.lidatong.com.cn/)- 科技、建站、经验、云计算、5G、大数据,站长网!
当前位置: 首页 > 综合聚焦 > 服务器 > 安全 > 正文

如何在Vim中左对齐IO流操作符<<和>>?

发布时间:2020-12-15 23:00:04 所属栏目:安全 来源:网络整理
导读:例如,而不是跟随对齐: std::cout "Hello " "Hello " "world "; 我想左对齐操作符,如: std::cout "Hello " " Hello " "world "; 默认情况下,Vim选择第一个.看起来它只是为新行增加了一个级别的缩进. 那么,有没有什么方法可以默认获得第二个对齐? 附:我已
例如,而不是跟随对齐:

std::cout << "Hello " << "Hello "
  << "world ";

我想左对齐<<操作符,如:

std::cout << "Hello " << " Hello "
          << "world ";

默认情况下,Vim选择第一个.看起来它只是为新行增加了一个级别的缩进.

那么,有没有什么方法可以默认获得第二个对齐?

附:我已经尝试过Align插件,但它将表区域对齐,如:

std::cout << "Hello World" << "Hello "
          << "World"       << "World Hello".

我觉得太稀疏了.

解决方法

我正在使用 Tabular,这对我有用

:Tabularize /^[^<<]S*

输出:

std::cout << "Hello World" << "Hello "
          << "world " << "World Hello";

说明

^开始后跟<<直至第一个<<,然后匹配将从第一个<<开始.

(编辑:李大同)

【声明】本站内容均来自网络,其相关言论仅代表作者个人观点,不代表本站立场。若无意侵犯到您的权利,请及时与联系站长删除相关内容!

    推荐文章
      热点阅读